某某网站欢迎您   设为首页
  加入收藏
  联系站长
           
首页 |  新闻中心 |  客服中心在线培训课程 
站内搜索

今天是:

2024-4-19 星期五
 集成电路中心欢迎您!

左侧上广告

左侧下广告 width=
当前位置 >> 首页 >> 课程列表 >> 数字SOC集成电路IC设计工程师培训班
课程编号  2
课程名称  数字SOC集成电路IC设计工程师培训班
开课时间  即将开课
是否促销  
关注程度 共有789人关注过此课程
◇◇ 课程详 细 介 绍 ◇◇
      数字SOC集成电路IC设计工程师培训班
   班级规模及环境
       为了保证培训效果,增加互动环节,我们坚持小班授课,每期报名人数限3到5人,多余人员安排到下一期进行。
   上课时间
最近开课时间:2018年5月14日
   学费、班级规模、时长和学费(学费包含价值1万元的完全属于自己永久使用的服务器,该服务器已经包含芯片设计软件、工艺技术库和项目案例,软件可帮助你安装在自己电脑上)
★培训时长:3个月(周末上课) ★班级规模:3到5人
   工作就业
       ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作
        ☆合格学员免费颁发相关工程师等资格证书,提升您的职业资质

        专注高端培训14年,曙海提供的证书得到本行业的广泛认可,学员的能力得到大家的认同,受到用人单位的广泛赞誉。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、培训结束后,培训老师留给学员手机和Email,免费提供半年的技术支持,充分保证培训后出效果;
        3、培训合格学员可享受免费推荐就业机会。 ☆合格学员免费颁发相关工程师等资格证书,提升您的职业资质。专注高端培训13年,曙海提供的证书得到本行业的广泛认可,学员的能力得到大家的认同,受到用人单位的广泛赞誉。

课程大纲
1.逻辑设计理论
2.Verilog语言
3.VHDL语言
4.数字电路验证
1)验证平台的建立
2)功能测试
5.设计综合(synthesys)
6.扫描链生成
7.仿真测试
1)DFT
2)ATPG
8.静态时序分析(STA)
9.数字前端全流程设计工具
10.相关工艺库文件计算机操作系统UNIX应用;
11.数字电路逻辑设计;
12.硬件描述语言HDL和逻辑综合初步;
13.集成电路设计导论及流程;
14.半导体器件原理及集成电路概论;
17.CMOS VLSI设计原理;
18. 数字系统设计与FPGA现成集成;
19.可测性设计;
20.RTL验证;
静态时序分析(STA);
逻辑综合(Logic Synthesis);
可测性设计(DFT);
IP Based设计;
软硬件协同设计仿真;
Matlab系统设计
21.项目实战:
1)RTL coding
2)状态机中断处理
3)testbench 建立
4)Testcase创建
22.项目实战二:
1)RTL coding
2)通讯数据协议E1
3)异步电路处理
4)算法
5)CPU控制
6)Testbench建立和testcase
7)综合和DFT
8)STA
第二阶段 IC项目实战
Synopsys DC(Design Compiler) 综合

1,综合的概念 
2,综合库与工具介绍
3,工作环境的设立和关键命令
4,综合前的准备工作 
5,芯片逻辑代码和流片厂库的结合
6,综合的过程 
7, 综合后网表的导出
8,时序SDC的导出
9,Synopsys DC 为Cadence Encounter工具所做的准备工作。
10,快速综合TCL脚本使用技巧


Cadence Encounter 布局布线

1.网表和工程库的结合
2,环境变量的设置和关键命令
3,布局布线前的准备工作
4,Synopsys DC工具和Cadence Encounter工具的衔接和配合
2.Floor plan
3.电源规划
4.布局、摆放
5.时钟树
6.布线


Cadence Virtuos 芯片焊盘和封装

1,环境变量的设置和关键命令
2,库的导入
3,快速建立工作环境的方法
4,焊盘库和工艺库的建立
5,Encounter def文件的导入
6,Encounter和Virtuoso的配合
7,芯片文件的导入
8,焊盘和封装的仿真
9,焊盘、封装与芯片的管脚规划
10,连线技巧


Synopsys PT(PrimeTime) 验证仿真

1,环境变量的设置
2,关键命令
3,仿真验证过程
4,仿真验证报告的产生
5,快速验证技巧
6,TCL脚本的使用技巧

技巧和总结提高


1、代码编写及仿真技巧 系统介绍verilog语法规范、语言与电路实现之关系,以及RTL仿真技术、RTL代码编写技巧、控制单元和数据通路单元的实现技巧、基于Verilog语言的测试编码技巧,功能验证及Testbench搭建的技巧。2、综合技术 讲述综合基础、组合电路与时序电路、基于TCL的综合流程、综合策略、设计环境和设计约束的制定、综合优化的技巧、实现优化结果的可综合代码编写技术等。3、可测试设计技术 基于Synopsys DFT compiler的DFT技术,介绍可测性设计技术、组合电路和时序电路的测试方法、基于TCL的DFT设计实现的基本流程。4、静态时序分析技术 基于Synopsys PT的静态时序分析技术,介绍静态时序分析、基于TCL技术的处理过程和常用的时序分析方法。


项目实践:

本课程专题实验是构造一个ARM9的处理器,
ARM9芯片后端设计整个流程项目实战演练,使用后端的Synopsys公司的DC,PT等工具,
和Cadence公司的Encounter,Virtuoso等工具,多工具联合从头至尾强化练习整个芯片的生成过程。

1.架构及设计流程

2.CPU核

1)指令

2)指令流水

3)数据缓冲和指令缓冲

4)内部数据ram和指令RAM


5)使用后端的Synopsys公司的DC,PT等工具,
和Cadence公司的Encounter,Virtuoso等工具,多工具联合从头至尾强化练习整个芯片的生成过程。


首页公司简介常见问题  
 
 
版权所有 集成电路中心  备案:沪ICP备08026168号
办公电话:4008699035 传真:021-32300767 手机:15921673576 邮箱:officeoffice@126.com 客服QQ:点击这里给我发消息
公司地址:上海市云屏路1399号26#新城金郡商务楼310室 邮编:201821
SHUHAI IC TRAINING